Научная Петербургская Академия

Реферат: Технологические основы электроники

Реферат: Технологические основы электроники

Реферат по предмету

«Технологические основы электроники»

1. Изобразить и описать последовательность формирования изолированных

областей в структуре с диэлектрической изоляцией

Реферат: Технологические основы электроники

Рис. 1. Последовательность формирования изолированных областей в

структу­ре с диэлектрической изоляцией:

а — исходная пластина; б — избирательное травление окисла, глубокое травление

кремния, окисление поверхности; в — осаждение поликристаллического

кремния; г — шлифование и полирование обратной стороны пластины; д

— окисление поверхности; е — готовая струк­тура после базовой и

эмиттерной диффузии и получения межсоединений

На рис.1 представлена последовательность формирования структуры с

диэлектрической изоляцией. В исходной пластине кремния n-типа методом

фотолитографии вытравливают участки окиси кремния, а затем и кремния по контуру

будущих элементов. В результате образуются канавки по замкнутому контуру.

Полу­ченную рельефную поверхность окисляют. Далее эту поверхность покрывают

толстым слоем кремния методом осаждения. Вследст­вие дезориентирующего влияния

окисного слоя осажденный крем­ний имеет поликристаллическую структуру и служит

конструкци­онным основанием будущей ИМС. Обратную сторону шлифуют, удаляя

монокристаллический слой до вскрытия окиси кремния по границам областей, и

производят доводку (для удаления нарушен­ного слоя). После протравливания и

отмывки поверхности ее окис­ляют. Далее в образовавшихся изолированных областях

монокри­сталлического кремния n-типа диффузионным методом формируют элементы

(базовые области, резисторы, эмиттеры, области под кон­такты). Обычным путем

получают и межсоединения на поверхно­сти пластины. Если исходная пластина

содержит эпитаксиальный n+-слой, то транзисторы получаются со

скрытым слоем.

2. Изобразить схему технологического процесса изготовления ИМС

эпитаксиально-планарной струк­туры без скрытого слоя.

Реферат: Технологические основы электроники

Рис. 2. Последовательность формирования эпитаксиально-планарной структуры:

а—исходная пластина; б—стравливание окисла, подготовка поверхности; в

—эпитаксиальное наращивание n-слоя, окисление поверхности; г—вскрытие

окон в окисле под изо­лирующую (разделительную) диффузию примеси; д —

диффузия акцепторной примеси, окисление поверхности; е — готовая

структура после формирования диффузионных базовых и эмиттерных областей, а

также получения межсоединений

Чтобы получить простейшую эпитаксиально-планарную струк­туру, в качестве

исходной заготовки используют монокристаллическую пластину кремния, равномерно

леги­рованную акцепторной примесью. Для нанесения эпитаксиаль­ного слоя на одну

из сторон пластины ее освобождают от окисла и тщательно очищают (рис.2), после

чего проводят осаждение монокристаллического слоя кремния n-типа. Далее

поверхность пластины окисляют и методом фотолитографии вскрывают окна в виде

узких замкнутых дорожек, соответствующих контуру кол­лекторных и изолирующих

областей ПМС. Проводя через окна диффузию акцепторной примеси до смыкания ее с

р-областью, получают таким образом изолированные друг от друга островки

равномерно легированного эпитаксиального n-кремния. Рас­смотренный процесс

диффузии называют изолирующей или разде­лительной диффузией. В

полученной на данной стадии заготовке (рис. 2,д) в дальнейшем формируют

базовые и эмиттерные об­ласти (диффузионным методом), а также контакты и

межсоеди­нения.

3. Каким образом осуществляется изоляция в изопланарной структуре

Реферат: Технологические основы электроники

Рис. 3 Последовательность формирования изолированных областей

в изопланарной структуре:

а—пластина с эпитаксиальным и скрытым слоями; б — нанесение слоя нитрида

кремния;

в — избирательное травление нитрида кремния по контуру будущих элементов; г —

глубо­кое окисление кремния; д — стравливание нитрида кремния и

окисление поверхности;

е—готовая структура после формирования базовых и эмиттерных областей а

также межсоединений

На рис. 3,е представлена изопланарная струк­тура транзистора, в

которой донная часть 2 коллектора изолирова­на от

монокристаллической пластины

р-n-переходом, а боковая 1— толстым слоем окисла, полученным сквозным

локальным окислени­ем эпитаксиального слоя.

Начальные стадии процесса получения изопланарной структуры следующие (рис. 3).

На поверхность пластины, содержащей эпитаксиальные n+- и n-слои,

осаждают (из газовой фазы) слой ни­трида кремния Si3N4.

Методом фотолитографии в этом слое обра­зуют защитную маску с окнами по контуру

коллекторных обла­стей. В процессе окисления нитридная маска сохраняется. Затем

ее стравливают и всю поверхность окисляют. Далее проводят диф­фузию для

формирования базы и эмиттера, формируют контакт­ные окна и межсоединения.

4. Используется ли эпитаксия при создании КМДП-структуры

Полная изоляция МДП-транзисторов обеспечивается при фор­мировании их в виде

островков на монокристаллической изолирую­щей пластине. В качестве изолирующей

пластины обычно используют синтетический сапфир, имеющий достаточно хорошее

кристаллографическое сопряжение с кремнием. Поэтому эти структуры получили

название структур «кремний на сапфире» или сокращенно КНС.

Эпитаксиально выращенный на сапфире кремний имеет высокую плотность структурных

нарушений (дис­локации), что заметно снижает подвижность носителей заряда.

Вследствие этого структуры на биполярных транзисторах оказа­лись не

эффективными и наиболее широкое применение нашли МДП-КНС-структуры, особенно

КМПД-КНС-структуры. В отличие от структур, изолированных р-n-переходом,

когда используется высокоомная (слаболегированная) пластина, структуры на

изолиру­ющей пластине устойчивы к температурным и радиационным воз­действиям.

Реферат: Технологические основы электроники

Рис. 4 Последовательность формирования КМДП-КНС-структуры:

а—исходная пластина «сапфир—эпитаксиальный кремний—окись кремния»;

б—избира­тельное анизотропное травление кремния с помощью оксидной маски

(образование остров­ков); в—избирательная диффузия акцепторной примеси;

г — снятие маски с островков; д — маскирование островков с помощью

SiO2; е — избирательное покрытие фосфорсиликатным стеклом

(ФСС) р-островков и общее покрытие боросиликатным стеклом (БСС); ж—

структура после диффузии примесей и стравливания БСС, ФСС и SiO2,

з — готовая струк­тура после нанесения SiO2 и формирования

межсоединений

В процессе формирования КМДП-КНС-структуры (рис. 4) методом эпитаксиального

наращивания (процесс гетероэпитаксии) создают сплошной слой

высокоомного п-Si. После маскирования окисью кремния и

анизотропного травления получают отдельные изолированные островки п-Si

. Проведя повторное маскирование окисью кремния, методом диффузии часть

островков легируют акцепторной примесью на всю глубину, превращая их в островки

р- Si. Предварительно защитив маской из окиси кремния участки будущих

каналов, избирательно покрывают р-островки фосфоро-силикатным (SiO2

.P2O5), а n-островки — боросиликатным (SiO2

.B2O3) стеклами. Последующим нагревом диффундируют

до-норную (Р) и акцепторную (В) примеси из легированных стекол в области стоков

и истоков. В дальнейшем стекло и участки SiO2 стравливают, наносят

слой окиси кремния, вытравливают участки окисла под затвор, выращивают тонкий

слой диэлектрика и форми­руют затворы, а также межсоединения.

Гетероэпитаксиальные слои, полученные в таких структурах, имеют небольшую

толщину (~1 мкм), что обусловлено относительным несовершенством

кри­сталлической структуры, выращиваемой на сапфире: с увеличени­ем толщины

пленки плотность дислокации увеличивается.

МДП-приборы, в которых в качестве канала используется тон­кий приповерхностный

слой, вполне могут быть реализованы в тон­ких эпитаксиальных слоях порядка

десятых долей микрометра. Од­нако тонкие эпитаксиальные слои практически

исключают возмож­ность многократного образования окиси кремния за счет

термиче­ского окисления, так как толщина слоя SiO2, необходимого для

защиты при термической диффузии, соизмерима с толщиной тако­го эпитаксиального

слоя. Поэтому обычно слои окиси кремния по­лучают методом осаждения из газовой

фазы, что, кстати, позволяет использовать относительно низкие температуры.

5. Указать недостатки методов диффузии

Метод терми­ческой диффузии примеси имеет ряд недостатков и ограничений.

1. Высокая температура процесса приводит к перераспределе­нию примеси в ранее

сформированных слоях и областях и смеще­нию р-n-переходов, что затрудняет

воспроизводимость активной базы транзисторов толщиной менее 0,6 мкм.

2. Наличие боковой диффузии увеличивает площадь отдель­ных диффузи-онных

областей и элементов в целом.

3. Зависимость коэффициента диффузии и растворимости при­меси от температуры

исключают возможность использовать многие полупроводниковые материалы и

легирующие элементы, перспективные для микроэлектроники.

6. Желательно или нет присутствие второго максимума на профиле распределения

примеси

Профиль распределения. При облучении монокристаллической мишени ионами в

направлениях, отличающихся от основных, про­филь распределения

внедренных атомов описывается нормальным законом распределения (рис. 5):

Реферат: Технологические основы электроники

Рис.5 Профили распределения электрически активных атомов бора при различных

энергиях ионного пучка

Реферат: Технологические основы электроники (1)

Реферат: Технологические основы электроники

Реферат: Технологические основы электроники где Q — доза легирования [см

-2]; — средняя длина пробега ионов [см]; —среднеквадратическое

отклонение длин пробегов [см].

Реферат: Технологические основы электроники Максимальная концентрация

примеси, соответствующая сред­ней длине пробега ,

Реферат: Технологические основы электроники (2)

а концентрация примеси на уровне р-n-перехода

Реферат: Технологические основы электроники (3)

Если допустить, что в процессе отжига вся внедренная примесь переходит в

активное состояние, а перераспределением примеси можно пренебречь, то глубина

залегания р-n-перехода из (2) и (3) окажется равной

Реферат: Технологические основы электроники (4)

Знак «±» указывают на возможность получения двух перехо­дов на разной глубине,

т. е. образования заглубленного (скрытого) слоя. Так, например, при внедрении

бора с энергией ионов 160 кэВ и концентрацией Nmax=1018

см-3 в пластину с концентрацией фосфора Nисх=1016

см-3 образуются два перехода на глубине 0,248 и 3,952 мкм.

Необходимая при этом доза легирования согласно (2) равна 2,9х1013 см

-2. Решение обратной задачи, т. е. определение энергии ионов, необходимой

для образования переходов на заданной глубине, может быть выполнено лишь на ЭВМ

с помощью итерационных алгоритмов.

В монокристаллах можно вы­делить направления, вдоль кото­рых имеются

периодически рас­положенные атомные цепочки и свободные от атомов каналы. При

облучении мишени в таких на­правлениях наблюдаются аномально большие пробеги

ионов, так как большая их часть проникает в глубь решетки по каналам,

ис­пытывая относительно слабое торможение. В кремнии эффект каналирования

ионов наблюдается в направлениях <110>, <100> и <111>.

Наименьшая плотность атомов имеет место в плоскостях {110} (рис. 6), наибольшая

— в {111}. Соответственно сред­няя длина пробега ионов в направлениях

<110> вдвое больше, чем в направлениях <111>.

Реферат: Технологические основы электроники

Рис. 6 Проекция структуры Si на плоскость (110)

При каналировании потери энергии ионов происходят в основ­ном за счет

взаимодействия с электронами. Ядерное торможение в канале возможно только при

столкновении ионов с атомами по­лупроводника и примеси, расположенными в

междоузлиях. Часть ионов испытывает раннее торможение вблизи поверхности

кристал­ла из-за столкновений с атомами кристаллической решетки. По мере

облучения мишени плотность дефектов в приповерхностном слое возрастает

(каналы перекрываются атомами, смещенными в область канала) и эффект

каналирования исчезает. Характер рас­пределения примесей, отвечающий

описанным явлениям, показан на рис. 7. При больших дозах облучения в

распределении при­меси имеется два максимума.

Реферат: Технологические основы электроники

Рис. 7 Распределение примеси при каналировании ионов:

1 — при умеренных дозах легирования;

2 при больших дозах легирования

7. Какой минимальный размер элементов можно получить при рентгеновской

литографии? Чем ограничена разрешающая способность?

При помощи рентгеновской литографии можно достичь разрешения

до 0,05 мкм.

В отличие от фотолитографии, где экспонирование производит­ся широкими

коллимированными световыми пучками, рентгенолитография не располагает

соответствующей «оптикой» и экспониро­вание на рентгеновских установках

приходится выполнять в пучках с большим углом расходимости. При наличии зазора

между шаб­лоном и подложкой это приводит к искажению размеров и смеще­нию

элементов рисунка, передаваемого в слой резиста. Максималь­ное смещение

элемента возникает на периферии пластины и равно Реферат: Технологические основы электроники

, параметры на рис. 8.

Реферат: Технологические основы электроники

Рис. 8 Схема экспонирова­ния на рентгеновской установ­ке

с вращающейся мишенью

Кроме того, конечные размеры пят­на на поверхности мишени из-за низкой степени

фокусировки сни­жают контрастность изображения в слое резиста. Размытость

изо­бражения, т. е. ширина зоны полутени по контуру элемента, Реферат: Технологические основы электроники

. Удовлетворительные результаты получают при Реферат: Технологические основы электроники

mm, Реферат: Технологические основы электроники мкм и Реферат: Технологические основы электроники

см.

Расходящиеся пучки рентгеновских трубок имеют в плоскости подложки невысокую

плотность потока энергии. Это вынуждает использовать в производстве

высокочувствительные негативные рентгенорезисты, обладающие ограниченным

(~0,5 мкм) разре­шением.

8. Дать характеристику диэлектрических паст, которые используются при

изготовлении изоляции толстопленочных ИМС.

Диэлектрические пасты подразделяют на два вида: для

диэлек­триков конденсаторов (типа ПК) и для межслойной изоляции (ти­па ПД).

Конденсаторные пасты должны обеспечивать удельные емкости порядка тысяч

пикофарад на 1 см2 при толщинах пленки до 40 мкм. В связи с этим

функциональную фазу составляют из по­рошков сегнетоэлектриков (например,

титаната бария ВаТiO3), имеющих высокие значения диэлектрической

проницаемости. Осо­бые требования предъявляются при этом к конструкционной

связ­ке, которая должна не реагировать с функциональной основой, обеспечивать

сплошность структуры и образовывать тонкие про­слойки между функциональными

частицами (для обеспечения вы­соких значений Реферат: Технологические основы электроники

). Паста ПК-1000-30 на основе титаната бария хо­рошо совмещается с проводниками

на основе серебряно-палладиевых паст и вжигается при t=600—650 °С. При толщине

25—30 мкм она имеет удельную емкость 3700—10000 пф/см2, т. е. того

же по­рядка, что и тонкопленочные конденсаторы.

Пасты для межслойной изоляции и защитных покрытий должны обладать удельной

емкостью не выше 200 пф/см2. Толщина изоля­ционных слоев достигает

70 мкм. Такие пасты составляют на осно­ве стекол, которые в этом случае

одновременно являются и функ­циональной, и конструкционной фазами. Например,

пасту ПД-2 со­ставляют на основе стекла СУ-273 с добавкой Al2O3

в качестве наполнителя. В состав органической связки входят канифоль,

стеа­риновая кислота, вазелиновое масло, ланолин, вакуумное масло. Паста

обеспечивает СO=120 пф/см2 при пробивном напряжении 500

В.

Основная технологическая задача при формировании слоев из стекол заключается

в том, чтобы избежать растекания слоя в про­цессе вжигания, а также при

повторных нагревах. Растекаемость уменьшает толщину слоя, за счет чего

возрастает удельная ем­кость, а также приводит к затеканию стекла на

контактные пло­щадки.

Хорошие результаты при создании межслойной изоляции дают пасты на основе

ситаллоцементов, в которых при нагревании обра­зуется кристаллическая фаза (по

типу ситаллов), предотвращаю­щая размягчение слоя при повторных нагревах.

Например, ситаллоцемент марки СЦ-273, синтезированный на основе стекол систе­мы

SiO2—PbO—ZnO—TiO2, вжигается при температуре 750 °С. Для

уменьшения его растекания при вжигании вводят наполнители: порошок Al2

O3 (15—20 масс. %) и порошок 22ХС (0—5 масс. %). Удельная емкость в

этом случае составляет 180 пф/см2 при толщи­не слоя 60—70 мкм. При

той же толщине ситаллоцементы СЦ-215 и СЦ-36 на основе SiO2—BaO— Al

2O3 с порошком 22ХС обеспечи­вают Со=120 пФ/см2.

При приготовлении паст их компоненты точно взвешивают в соответствии с

рецептурой и тщательно перемешивают.

9. Описать способы подгонки толстопленочных элементов

Подгонка толстопленочных ре­зисторов заключается в удалении части их

материала, в резуль­тате чего сопротивление резисторов возрастает. Подгонка

толсто­пленочных конденсаторов состоит в удалении части верхней об­кладки, в

результате чего емкость конденсаторов уменьшается. Поэтому, чтобы исключить

неисправный брак, требуется настраи­вать процесс печати элементов путем

корректировки состава паст или толщины слоев так, чтобы резисторы имели

заведомо зани­женные значения сопротивлений, а конденсаторы—завышенные-

значения емкости (рис. 9).

Реферат: Технологические основы электроники

Рис. 9 Относительное расположение полей рассеяния и допуска до подгонки:

а — для толстопленочных резисторов; б — для толстопленочных

конденсаторов (Реферат: Технологические основы электроники и Реферат: Технологические основы электроники

- поля допусков на сопротивление резисторов и емкость конденсаторов

соответственно)

При подгонке конденсаторов необходимо тщательно подбирать режимы обработки во

избежание короткого замыкания обкладок. В процессе подгонки выводы подложки

устанавливают в контакт­ное приспособление, связывающее элементы схемы с

измеритель­ным устройством. Затем, последовательно «опрашивая» элемен­ты, их

измеряют и обрабатывают. Для этого каждый элемент схемы должен иметь

индивидуальный выход на выводы подлож­ки. При необходимости вводят либо

временные (технологические) перемычки, впоследствии удаляемые (рис. 2.50,а),

либо времен­ные армированные выводы, которые отрезают после подгонки (рис.

2.50,6).

Реферат: Технологические основы электроники

Рис. 10 Подложки с толстопленочными резисторами (а) и конденсато­рами (б)

При подгонке элементов на подложках, не имеющих выводов (по аналогии с

ситалловыми подложками тонкопленочных микросхем, контактирование

осуще­ствляется через контактные пло­щадки элементов с помощью зон­дов

измерительного устройства.

Для подгонки применяют лазерные установки «Кварц-5», «Темп-10», а в

крупносерийном автоматизированном производст­ве—автоматы подгонки «Темп-30».

Установка «Кварц-5», например, предназначена для подгонки ре­зисторов

импульсами излучения с длиной волны 0,34 мкм. Мощ­ность в импульсе

достигает 30 кВт. Производительность уста­новки 300 резисторов в час.

Гетерогенный характер струк­туры толстопленочных резисторов позволяет эффективно

использо­вать и токовую подгонку. При подаче на резистор высоковольт­ного

импульса происходят пробои стеклянной прослойки, разделяю­щей частицы

функциональной фазы, и возникают дополнительные каналы проводимости. В

ре­зультате сопротивление резистора уменьшается. Приемлемая ско­рость изменения

сопротивления (Реферат: Технологические основы электроники %)

достигается при ампли­тудах импульса 50—500 В и длительности 2—10 мкс. При этом

-число импульсов обычно не превышает трех. Испытания подогнан­ных резисторов

под нагрузкой в течение 1000 ч показывают, что сопротивление резисторов

частично восстанавливается.

Важным этапом операции подгонки, как лазерной, так и токо­вой, является

определение необходимого воздействия на резистор в зависимости от результатов

измерения его сопротивления. При ручных методах измерения и управления

процессом подгонки тре­буемое время во много раз превышает время собственно

подгон­ки. Высокая эффективность процесса подгонки возможна лишь при

использовании автоматизированных систем управления про­цессом (АСУ).

10. Изобразить схему вакуумной системы многопозиционной установки для

вакуумного напыления

Известно, что для получения рабочего вакуума затрачивается время до 1,5—2 ч

(даже при разогретом диффузионном насосе). Так как время напыления отдельного

слоя редко превышает 1-1,5 мин, то стремятся использовать многопозиционные

вакуумные установки, позволяющие, не нарушая вакуума (за один вакуум­ный

цикл), последовательно или одновременно обрабатывать не­сколько подложек.

Эффект еще более значителен, если при этом применяют групповые подложки.

Обычно используют групповые ситалловые подложки стандартного размера 60х48

или 120х96 мм.

По степени непрерывности процесса обработки МПВУ могут быть разделены на

две группы: однокамерные периодического действия и многокамерные

полунепрерывного или непрерывного действия.

Установки первой группы работают по следующему циклу: ус­тановка

подложек—откачка рабочего объема - обработка (на­пыление) - снятие вакуума и

вскрытие—снятие обработанных подложек. Для таких установок характерно, что

вспомогательное время на откачку не перекрывается с основным технологическим

временем, а также что установка подложек и их совмещение с масками выполняются

вручную (непосредственно или через соот­ветствующие механизмы).

В установках, второй группы откачка частично (МПВУ полу­непрерывного

действия) или полностью (МПВУ непрерывного действия) совмещается с основным

процессом обработки. Это до­стигается с помощью многокамерной системы с

различным уров­нем вакуума в отдельных- камерах. В подобных установках мож­но

выполнять полный цикл изготовления микросхемы, т. е. напы­лять все слои,

поэтому в обработке одновременно (на разных стадиях) могут находиться несколько

подложек. Управление в та­ких установках (транспортировка подложек и фиксация

их на ра­бочих позициях) осуществляется автоматически. Таким образом, установки

второй группы представляют собой автоматические ли­нии.

Однокамерная установка периодического действия имеет внутрикамерное

многопозиционное устройство карусельного типа, ко­торое выполняют в одном из

двух вариантов: либо в каждой по­зиции карусели (барабане) устанавливают

подложку в комплек­те с трафаретом (если таковые используют в данном

процессе), либо на карусели устанавливают только подложки, а маски раз­мещают

в неподвижном многопозиционном диске и, таким обра­зом подложка, переходя из

позиции в позицию, последовательно совмещается с различными масками.

В установках первого типа обычно имеется одна рабочая позиция (позиция

напыления), поэтому в каждый момент времени об­рабатывается лишь одна

подложка. К таким установкам, в частности, относится УВН-2М-2, упрощенная

схема внутрикамерного устройства которой представлена на рис.11

Реферат: Технологические основы электроники

Рис. 11 Схема внутрикамер­ного устройства УВН-2М-2:

1 — карусель испарителей; 2 — эк­раны; 3—диафрагма; 4 — кару­сель трафаретов

и подложек; 5 — нагреватель подложек; 6 — имита­тор с датчиками

температуры и сопротивления пленки; 7 — электро­ды войной очистки; 8 —

коллектор; 9 — заслонка

В данном случае карусель подложек и масок имеет восемь позиций и может

непре­рывно вращаться со скоростью 40—150 об/мин. Это обеспечивает

идентичность свойств пленки на всех подложках. На базовой плите смонтирована

пятипозиционная карусель резистивных испа­рителей таким образом, что питание

подается только на тот испаритель, который выведен на рабочую позицию.

Технологические возможности такой установки в основном за­ключаются в

напылении элементов одного слоя через трафареты, а также в напылении двух

сплошных слоев (например, резистивного и проводящего) с последующей

двухкратной фотолитогра­фией.

Внутрикамерное устройство включает в себя также систему ионной очистки,

установленную неподвижно в одной из позиций, систему нагрева подложек,

датчики контроля сопротивления и толщины наносимой пленки.

11. Описать метод получения пленок путем катодного розпыления

Атомарный (молекулярный) поток вещества можно получить, бомбардируя

поверхность твердого образца ионами с энергией порядка сотен и тысяч

электрон-вольт. Энергия ионов при этом в несколько раз превышает теплоту

сублимации поверхностных атомов и образец (мишень) интенсивно распыляется. В

процессе бомбардировки мишень активно охлаждают. Это исключает про­текание в

ней диффузионных процессов. В условиях повышенного по сравнению с термическим

вакуумным напылением давления значительная часть распыленных атомов

рассеивается, что, с од­ной стороны, уменьшает скорость осаждения, а с другой

— повы­шает равномерность осаждения пленки по площади подложки. Этому же

способствует и большая площадь мишени.

Таким образом, по сравнению с термическим испарением в вакууме распыление

ионной бомбардировкой позволяет:

1) получать пленки из тугоплавких металлов, перспективных для микроэлектроники;

2) наносить на подложку соединения и сплавы без диссоциа­ции и

фракционирования, т. е. без изменения исходного состава;

3) осаждать окисные, нитридные и другие пленки за счет хи­мического

взаимодействия распыляемого материала с вводимыми в камеру химически

активными газами (реактивное катодное рас­пыление);

4) получать равномерные по толщине пленки на большой пло­щади, в частности,

при наличии поверхностного рельефа;

5) многократно использовать мишень в качестве источника ма­териала, что

повышает однородность процесса и облегчает его автоматизацию (например, в

установках непрерывного действия);

6) обеспечивать высокую адгезию пленок к подложке благо­даря специфическим

условиям на подложке и высокой энергии осаждающихся атомов (частичное

внедрение в решетку материа­ла подложки);

7) обеспечивать малую инерционность процесса.

Для распыления мишени используют ионы инертных газов (обычно аргон высокой

чистоты). Источником ионов служит либо самостоятельный тлеющий разряд, либо

плазма несамостоятель­ного разряда (дугового или высокочастотного). В

настоящее вре­мя в производстве применяют различные процессы распыления,

отличающиеся характером питающего напряжения (постоянное, переменное,

высокочастотное), способом возбуждения и поддер­жания разряда

(автоэлектронная эмиссия, термоэмиссия, магнит­ное поле, электрическое ВЧ-

поле и т.д.), числом электродов. Такое разнообразие процессов и их

модификаций обусловлено стремлением улучшить основные технологические

показатели — скорость осаждения, чистоту и однородность по толщине

получае­мой пленки, а также стремлением расширить круг материалов,

используемых для получения пленок этим методом.

Физические основы процесса целесообразно рассмотреть на примере простейшей

его разновидности — катодного распыления на постоянном токе самостоятельного

тлеющего разряда.

Реферат: Технологические основы электроники

Рис. 12 Схема катодного рас­пыления (двухэлектродная систе­ма) и характер

распределения по­тенциала в пространстве между катодом и анодом:

1—анод; 2—подложка; 3 — изолятор;4—экран; 5 — катод-мишень

Физические основы катодного распыления. При катодном рас­пылении

используют простейшую двухэлектродную схему (рис.12), называемую также диодной

схемой распыления, которая со­стоит из катода (распыляемой ми­шени) и анода.

Подложки разме­щают на аноде. Тлеющий разряд создается в разреженном аргоне при

давлении 1—10 Па. В процессе распыления непрерывно работает система откачки, а

аргон с опреде­ленным расходом поступает в ка­меру через натекатель, что и

обес­печивает заданное давление газа. Катод-мишень наводится под отри­цательным

потенциалом относитель­но заземленного анода.

Возможные режимы самостоя­тельного тлеющего разряда мож­но описать с помощью

вольт-ам­перной характеристики (рис. 13)

Реферат: Технологические основы электроники

Рис. 13 Вольт-амперная характеристика самостоятельного газового разряда

В исходном газовом промежутке «катод — анод» вследствие фото­эмиссии катода,

воздействия космического излучения и других при­чин всегда присутствуют

электроны. Кроме того, при высоких на­пряжениях имеет место автоэлектронная

эмиссия с холодного ка­тода. Поэтому пробивная напряженность электрического

поля в таком промежутке при давлениях 1—10 Па составляет около 0,5 кВ/см. Для

расстояний между анодом и катодом L=3Реферат: Технологические основы электроники

8 см напряжение необходимое для электрического пробоя и зажигания разряда

(напряжение зажигания) порядка 1,5—4 кВ. Приобретая в электрическом поле

энергию, электроны движутся к аноду, ионизируя по пути атомы газа, в результате

чего происходит ла­винообразное нарастание потока электронов к аноду и

(встреч­ного) ионов к катоду. Вследствие этого резко повышается прово­димость

газового промежутка, возрастает ток и снижается напряжение [до нескольких сотен

вольт (участок 1 на рис. 13)]. Возникаю­щий при этом разряд может стать

стационарным лишь при условии, если с катода в разрядный промежуток бу­дут

поступать электроны в количестве, достаточном для поддержания кон­центрации

электронов и ионов в раз­ряде. По достижении катода ионы рекомбинируют

(нейтрализуются) с электронами, поступающими на катод из внешней цепи.

Освобождающаяся энергия достаточна (с определенной вероятностью Реферат: Технологические основы электроники

), чтобы вы­звать эмиссию электрона с поверхности катода (вторичная

ионно-электронная эмиссия), а при определенной кинетической энергии ионы

могут выбивать также атомы из материала катода (распы­ление). Вторичные

электроны в результате столкновений должны создавать такое количество ионов (в

среднем 1/Реферат: Технологические основы электроники на один

элек­трон), которое, с одной стороны, компенсирует их убыль в ре­зультате

нейтрализации, а с другой — обеспечивает постоянный приток вторичных электронов

с катода. В этом случае разряд под­держивает сам себя и называется

самостоятельным тлеющим раз­рядом.

Для тлеющего разряда характерно определенное распределе­ние потенциала,

обусловленное расположением пространственных зарядов. Не рассматривая тонкой

структуры разряда, можно вы­делить в нем две основные области: темное

катодное пространство и положительный светящийся столб (см. рис.

12). Толщина dк темного катодного пространства (ТКП)

приблизительно равна среднему расстоянию, которое проходит вторичный электрон

от катода до первого ионизирующего столкновения. В дальнейшем электрон еще

способен многократно ионизировать молекулы газа, поскольку его энергия в момент

первого столкновения составляет сотни электрон-вольт и существенно превышает

энергию, необхо­димую для ионизации атома, например, аргона (15,7 эВ). Поэто­му

непосредственно за ТКП образуется область ионизированного газа, в которой число

электронов и положительных ионов при­мерно одинаково. Эта область (область

положительного столба) характеризуется высокой проводимостью и малым падением

на­пряжения. Свечение положительного столба объясняется возбуж­дением

нейтральных молекул при их столкновении с электрона­ми, а также рекомбинацией

ионов. Благодаря экранирующему действию электронов положительные ионы

перемещаются в на­правлении к катоду главным образом за счет диффузии, так как

их дрейф в таких условиях незначителен. Достигая границы ТКП, ионы ускоряются

сильным полем и бомбардируют катод. Из-за различия в скорости ионов и

электронов в ТКП образуется поло­жительный пространственный заряд, который и

обусловливает значительное падение напряжения и высокую напряженность по­ля.

Таким образом, ТКП, в котором практически сосредоточено все поле, играет

решающую роль как в обеспечении разряда, так и в процессе распыления. Оно

обеспечивает энергию элек­тронов, необходимую для поддержания разряда, и

энергию ионов, необходимую для эффективной бомбардировки катода-мишени.

В установившемся режиме (участок 2 ВАХ) падение напряже­ния в

области ТКП принимает определенное значение uнк, назы­ваемое

нормальным катодным напряжением. Оно зависит от рода газа, его давления

р, материала мишени и до определенного зна­чения не зависит от разрядного

тока. Последнее объясняется ус­ловиями существования нормального тлеющего

разряда: при увеличении разрядного тока (за счет увеличения подводимой

мощ­ности) площадь катода, покрытая разрядом, увеличивается таким образом, что

плотность тока остается неизменной и минимально необходимой для эмиссии

вторичных электронов, поддерживаю­щих самостоятельный разряд. Из-за низкой

плотности тока рас­пыление мишени в режиме нормального тлеющего разряда

незна­чительно.

Когда вся площадь катода покроется разрядом, дальнейшее увеличение тока приводит

к возрастанию его плотности. Это ве­дет к повышению катодного падения

напряжения и повышению коэффициента вторичной электронной эмиссии Реферат: Технологические основы электроники

, обеспечиваю­щих самостоятельный разряд. Участок 3 ВАХ

соответствует ано­мальному тлеющему разряду и используется для распыления в

производственных условиях.

С повышением тока увеличиваются плотность тока и интенсив­ность распыления. При

некотором значении плотности тока, за­висящем от условий охлаждения мишени,

катод сильно разогре­вается и начинает заметно проявляться термоэлектронная

эмис­сия. Ток в разряде возрастает, а напряжение падает, поскольку разряд

становится несамостоятельным и имеет характер дугового разряда (участок

4 ВАХ). Для предотвращения перехода тлеюще­го разряда в дуговой

высоковольтный источник питания должен иметь ограничения по мощности, а мишень

интенсивно охлаж­даться.

Основной характеристикой, определяющей эффективность рас­пыления, является

коэффициент распыления kp, представляющий собой среднее

число атомов мишени, распыленных одним ионом. Коэффициент распыления зависит от

энергии иона ЕИ, его массы (рода рабочего газа), материала мишени и

в некоторой степени от ее температуры и состояния поверхности, а также от угла

падения иона. В табл. 1 приведены значения коэффициентов распыления для

некоторых металлов.

Таблица 1 Значения коэффициента распыления

Распреде-ляемое вещество

Коэффициент распыления kP

при ЕИ=600 эВ

при ЕИ =1 кэВ

Аг

Кг

АгКг
Си

2,3

2,8

3,2

3,4

Fe

1,3

1,2

1,4

1,4

Мо

0,9

1,1

1,1

1,2

Ni

1,5

1,5

2,1

1,7

Коэффициент распыления необходимо рассматривать как случайную величину,

обладающую определен­ными статистическими характеристиками. Как следует из

таблицы, увеличение коэффициента распыления возможно за счет увеличения как

энергии ионов, так и молекуляр­ной массы газа, в среде которого про­исходит

распыление (Аг, Кг).

Увеличение давления рабочего га­за повышает вероятность столкнове­ния

распыленных атомов с молекула­ми газа, в результате чего часть ато­мов не

приходит на подложку, а рас­сеивается в объеме камеры или воз­вращается на

мишень. При этом ско­рости распыления и осаждения пада­ют. Таким же образом

влияет на эти параметры увеличение расстояния L от катода до подложки.

Минимально допустимое значение L должно несколько превышать ширину

темного катодного пространства dК, иначе вероятность

ионизирую­щих столкновений вторичных электронов резко уменьшится и раз­ряд

станет нестабильным. В то же время высокая энергия электро­нов вблизи границы

ТКП приводит к тому, что интенсивность бом­бардировки поверхности подложки

повышается и она разогревает­ся, результатом чего является снижение скорости

осаждения, а в ряде случаев - возникновение нежелатель-ных радиационных

на­рушений поверхностного слоя. На практике расстояние L подбира­ют

экспериментально.

Из сказанного следует, что массовая скорость распыления ве­щества катода, т.е.

количество вещества в граммах, распыленного с 1 см2 катода в 1 с,

определяется для аномально тлеющего разряда выражением

w=k(u-uНК)J/(pL) (5)

где и—напряжение «анод—катод»; иНК—нормальное

катодное падение напряжения, при котором распыление пренебрежимо мало;

J—плотность разрядного тока; р—давление рабочего газа; L—

расстояние «катод—подложка»; k—постоянная, зависящая от рода газа и

материала катода.

Из проведенного анализа ясно, что все технологические параме­тры распыления

(и, иНК, J и р) функционально связаны друг с дру­гом и

выбор одного из них однозначно определяет значения других. Это положение

иллюстрируется рис. 14, на котором представлены рабочие участки вольт-амперных

характеристик разряда при раз­личных давлениях рабочего газа, а также

нагрузочная выходная характеристика блока питания. Точка пересечения

нагрузочной ха­рактеристики с ВАХ определяет режим распыления.

Реферат: Технологические основы электроники

Рис. 14 Семейство ВАХ ано­мально тлеющего разряда при различных давлениях

газовой

смеси (р1> р2> р3> р4> р5) и нагрузочная характеристика (N) блока питания

При распылении сплавов скорость процесса для разных компо­нентов в общем

случае различна. Обеспечить заданный состав пле­нок при ионном распылении в

большинстве случаев проще, чем при термическом вакуумном напылении. Один из

приемов заключается в использовании составных (мозаичных) мишеней, причем

соотно­шение площадей компонентов мишени рассчитывают, исходя из заданного

состава пленки и коэффициентов рас­пыления.

Условия конденсации распыленных атомов. При ионном распылении (в от­личие

от термического вакуумного на­пыления) поток атомов вещества на подложку имеет

следующие особен­ности:

1) энергия и направление удара атомов о поверхность подложки носят случайный

характер по поверхности и во времени;

2) плотность потока атомов на подложку приблизительно на порядок ниже, что

обусловливает более низкие скорости роста пленок (~0,5 нм/с);

3) средняя энергия атомов, подлетающих к подложке, на 1—2 порядка более высокая;

4) наряду с нейтральными атомами в потоке присутствуют ионы распыляемого

вещества и электроны;

5) относительное содержание молекул остаточного газа в пото­ке и на подложке

более высокое.

Эти особенности придают специфический характер процессу кон­денсации при

ионном распылении. Важным при этом является на­личие на поверхности подложки

распределенного отрицательного заряда: направляясь к аноду, часть потока

электронов остается на поверхности диэлектрической подложки (а затем и

пленки), обра­зуя статический заряд, потенциал которого может достигать 100 В

(и более) относительно заземленного анода. Под влиянием отрица­тельного

заряда возникают поток положительных ионов остаточно­го газа, загрязняющего

пленку, поток ионов рабочего газа, способ­ствующий десорбции газов, и поток

ионов распыленного материала катода, который, двигаясь вдоль подложки к

«ямам» потенциаль­ного рельефа, приводит к быстрому образованию крупных

кристал­лов. Рост таких кристаллов приводит к раннему образованию сплошной

пленки, т.е. снижает значение критической толщины. Кроме того, зарядовый

механизм конденсации объясняет, почему для пленок не существуют критическое

значение температуры под­ложки и критическая плотность пучка.

Образованию крупных кристаллов способствуют также высокие энергии нейтральных

атомов распыленного вещества и нагрев под­ложки из-за бомбардировки. Оба эти

фактора обеспечи­вают высокую миграционную способность атомов.

При катодном распылении легче, чем при термическом вакуум­ном испарении,

достигнуть равномерного распределения конденса­та по толщине, так как плоский

источник атомов — катод может по размерам быть больше расстояния до подложки

(30—80 мм). Так, при диаметре катода 300—350 мм достигается равномерность

кон­денсата по толщине ±2% на площади анода диаметром 150 мм. При планетарном

движении подложек на вращающемся аноде рав­номерность в распределении

конденсата улучшается до ±1%.

Ранее были отмечены некоторые побочные явления, способст­вующие десорбции

остаточных газов из пленки. Тем не менее со­держание газов в пленке обычно

остается высоким. Например, при парциальном давлении остаточных газов 10-4

Па осажденная плен­ка тантала содержит до 10 ат. % кислорода. Причина

повышенного содержания газа в осажденной пленке состоит в низкой плотности

потока распыленных атомов на подложку, в то время как плотность потока

остаточных газов на подложку имеет примерно тот же по­рядок, что и при

термическом вакуумном напылении. Кроме того, эффективность работы диффузионного

насоса (скорость откачки) при давлениях выше 0,1 Па заметно снижается, и

несмотря на то, что напуск рабочего газа производят только после откачки до

глубокого вакуума (10-4 Па), в присутствии рабочего газа остаточный

газ удаляется менее эффективно, и его парциальное давление по­вышается.



(C) 2009